Merge pull request '2023.4' (#168) from 2023.4 into master

Reviewed-on: #168
This commit is contained in:
Sven Heidemann 2023-04-12 13:57:50 +02:00
commit 315b8e631a
704 changed files with 15860 additions and 20764 deletions

View File

@ -87,7 +87,7 @@
Install the CPL package
```sh
pip install cpl --extra-index-url https://pip.sh-edraft.de
pip install cpl-core --extra-index-url https://pip.sh-edraft.de
```
Install the CPL CLI

View File

@ -1,6 +1,6 @@
{
"WorkspaceSettings": {
"DefaultProject": "cpl-cli",
"DefaultProject": "cpl-core",
"Projects": {
"cpl-cli": "src/cpl_cli/cpl-cli.json",
"cpl-core": "src/cpl_core/cpl-core.json",
@ -19,13 +19,15 @@
"Scripts": {
"hello-world": "echo 'Hello World'",
"format": "echo 'Formatting:'; black ./",
"sv": "cpl set-version",
"set-version": "cpl run set-version --dev $ARGS; echo '';",
"spu": "cpl set-pip-urls",
"set-pip-urls": "cpl run set-pip-urls --dev $ARGS; echo '';",
"docs-build": "echo 'Build Documentation'; cpl db-core; cpl db-discord; cpl db-query; cpl db-translation; cd docs/; make clean; make html; # rm source/cpl_query.tests.rst;",
"docs-build": "cpl format; echo 'Build Documentation'; cpl db-core; cpl db-discord; cpl db-query; cpl db-translation; cd docs/; make clean; make html;",
"db-core": "cd docs/; sphinx-apidoc -o source/ ../src/cpl_core; cd ../",
"db-discord": "cd docs/; sphinx-apidoc -o source/ ../src/cpl_discord; cd ../",
"db-query": "cd docs/; sphinx-apidoc -o source/ ../src/cpl_query; cd ../",

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

BIN
docs/build/doctrees/cpl_cli.add.doctree vendored Normal file

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

BIN
docs/build/doctrees/cpl_cli.help.doctree vendored Normal file

Binary file not shown.

Binary file not shown.

BIN
docs/build/doctrees/cpl_cli.new.doctree vendored Normal file

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

BIN
docs/build/doctrees/cpl_cli.run.doctree vendored Normal file

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

BIN
docs/build/doctrees/deprecated.doctree vendored Normal file

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

View File

@ -1,4 +1,4 @@
# Sphinx build info version 1
# This file hashes the configuration used when building these files. When it is not found, a full rebuild will be done.
config: 7051b788adc6d655ae340e56640c6925
config: 7161cd79d6fb56140ef1c390e01dab1c
tags: 645f666f9bcd5a90fca523b33c5a78b7

View File

@ -29,4 +29,4 @@ If you call the command in a CPL workspace, you can use the project names. Other
| Argument | Description |
|------------------|:------------------------------------------:|
| ```--simulate``` | Specifies whether the command is simulated |
| ```--simulate``` | Specifies whether the command is simulated |

View File

@ -39,15 +39,15 @@ The cpl generate command takes as an argument the artifact to be generated. In
| Command | Alias | Description |
| ----------------------------- |:-------------:|:----------------:|
| [add](cli.add) | a or a | Adds a project reference to given project.
| [build](cli.build) | b or B | Prepares files for publish into an output directory named dist/ at the given output path. Must be executed from within a workspace directory.
| [generate](cli.generate) | g or G | Generate a new file.
| [help](cli.help) | h or H | Lists available command and their short descriptions.
| [install](cli.install) | i or I | With argument installs packages to project, without argument installs project dependencies.
| [new](cli.new) | n or N | Creates new CPL project.
| [publish](cli.publish) | p or P | Prepares files for publish into an output directory named dist/ at the given output path and executes ```setup.py```. Must be executed from within a library workspace directory.
| [remove](cli.remove) | r or R | Removes a project from workspace.
| [start](cli.start) | s or S | Starts CPL project, restarting on file changes.
| [uninstall](cli.uninstall) | ui or UI | Uninstalls packages from project.
| [update](cli.update) | u or U | Update CPL and project dependencies.
| [version](cli.version) | v or V | Outputs CPL CLI version.
| [add](cpl_cli.add) | a or a | Adds a project reference to given project.
| [build](cpl_cli.build) | b or B | Prepares files for publish into an output directory named dist/ at the given output path. Must be executed from within a workspace directory.
| [generate](cpl_cli.generate) | g or G | Generate a new file.
| [help](cpl_cli.help) | h or H | Lists available command and their short descriptions.
| [install](cpl_cli.install) | i or I | With argument installs packages to project, without argument installs project dependencies.
| [new](cpl_cli.new) | n or N | Creates new CPL project.
| [publish](cpl_cli.publish) | p or P | Prepares files for publish into an output directory named dist/ at the given output path and executes ```setup.py```. Must be executed from within a library workspace directory.
| [remove](cpl_cli.remove) | r or R | Removes a project from workspace.
| [start](cpl_cli.start) | s or S | Starts CPL project, restarting on file changes.
| [uninstall](cpl_cli.uninstall) | ui or UI | Uninstalls packages from project.
| [update](cpl_cli.update) | u or U | Update CPL and project dependencies.
| [version](cpl_cli.version) | v or V | Outputs CPL CLI version.

View File

@ -4,16 +4,17 @@ CLI reference
.. toctree::
:maxdepth: 4
cli.overview
cli.add
cli.build
cli.generate
cli.help
cli.install
cli.new
cli.publish
cli.remove
cli.start
cli.uninstall
cli.update
cli.version
cpl_cli.overview
cpl_cli.add
cpl_cli.build
cpl_cli.generate
cpl_cli.help
cpl_cli.install
cpl_cli.new
cpl_cli.publish
cpl_cli.remove
cpl_cli.run
cpl_cli.start
cpl_cli.uninstall
cpl_cli.update
cpl_cli.version

View File

@ -1,34 +1,61 @@
cpl_core.application
=======================
cpl\_core.application package
=============================
cpl_core.application.application\_abc
---------------------------------------
Submodules
----------
cpl\_core.application.application\_abc module
---------------------------------------------
.. automodule:: cpl_core.application.application_abc
:members:
:undoc-members:
:show-inheritance:
cpl_core.application.application\_builder
-------------------------------------------
cpl\_core.application.application\_builder module
-------------------------------------------------
.. automodule:: cpl_core.application.application_builder
:members:
:undoc-members:
:show-inheritance:
cpl_core.application.application\_builder\_abc
------------------------------------------------
cpl\_core.application.application\_builder\_abc module
------------------------------------------------------
.. automodule:: cpl_core.application.application_builder_abc
:members:
:undoc-members:
:show-inheritance:
cpl_core.application.startup\_abc
-----------------------------------
cpl\_core.application.application\_extension\_abc module
--------------------------------------------------------
.. automodule:: cpl_core.application.application_extension_abc
:members:
:undoc-members:
:show-inheritance:
cpl\_core.application.startup\_abc module
-----------------------------------------
.. automodule:: cpl_core.application.startup_abc
:members:
:undoc-members:
:show-inheritance:
cpl\_core.application.startup\_extension\_abc module
----------------------------------------------------
.. automodule:: cpl_core.application.startup_extension_abc
:members:
:undoc-members:
:show-inheritance:
Module contents
---------------
.. automodule:: cpl_core.application
:members:
:undoc-members:
:show-inheritance:

View File

@ -1,42 +1,109 @@
cpl_core.configuration
=========================
cpl\_core.configuration package
===============================
cpl_core.configuration.configuration
--------------------------------------
Submodules
----------
cpl\_core.configuration.argument\_abc module
--------------------------------------------
.. automodule:: cpl_core.configuration.argument_abc
:members:
:undoc-members:
:show-inheritance:
cpl\_core.configuration.argument\_builder module
------------------------------------------------
.. automodule:: cpl_core.configuration.argument_builder
:members:
:undoc-members:
:show-inheritance:
cpl\_core.configuration.argument\_executable\_abc module
--------------------------------------------------------
.. automodule:: cpl_core.configuration.argument_executable_abc
:members:
:undoc-members:
:show-inheritance:
cpl\_core.configuration.argument\_type\_enum module
---------------------------------------------------
.. automodule:: cpl_core.configuration.argument_type_enum
:members:
:undoc-members:
:show-inheritance:
cpl\_core.configuration.configuration module
--------------------------------------------
.. automodule:: cpl_core.configuration.configuration
:members:
:undoc-members:
:show-inheritance:
cpl_core.configuration.configuration\_abc
-------------------------------------------
cpl\_core.configuration.configuration\_abc module
-------------------------------------------------
.. automodule:: cpl_core.configuration.configuration_abc
:members:
:undoc-members:
:show-inheritance:
cpl_core.configuration.configuration\_model\_abc
--------------------------------------------------
cpl\_core.configuration.configuration\_model\_abc module
--------------------------------------------------------
.. automodule:: cpl_core.configuration.configuration_model_abc
:members:
:undoc-members:
:show-inheritance:
cpl_core.configuration.configuration\_variable\_name\_enum
------------------------------------------------------------
cpl\_core.configuration.configuration\_variable\_name\_enum module
------------------------------------------------------------------
.. automodule:: cpl_core.configuration.configuration_variable_name_enum
:members:
:undoc-members:
:show-inheritance:
cpl_core.configuration.console\_argument
------------------------------------------
cpl\_core.configuration.executable\_argument module
---------------------------------------------------
.. automodule:: cpl_core.configuration.console_argument
.. automodule:: cpl_core.configuration.executable_argument
:members:
:undoc-members:
:show-inheritance:
cpl\_core.configuration.flag\_argument module
---------------------------------------------
.. automodule:: cpl_core.configuration.flag_argument
:members:
:undoc-members:
:show-inheritance:
cpl\_core.configuration.validator\_abc module
---------------------------------------------
.. automodule:: cpl_core.configuration.validator_abc
:members:
:undoc-members:
:show-inheritance:
cpl\_core.configuration.variable\_argument module
-------------------------------------------------
.. automodule:: cpl_core.configuration.variable_argument
:members:
:undoc-members:
:show-inheritance:
Module contents
---------------
.. automodule:: cpl_core.configuration
:members:
:undoc-members:
:show-inheritance:

View File

@ -1,42 +1,53 @@
cpl_core.console
===================
cpl\_core.console package
=========================
cpl_core.console.background\_color\_enum
------------------------------------------
Submodules
----------
cpl\_core.console.background\_color\_enum module
------------------------------------------------
.. automodule:: cpl_core.console.background_color_enum
:members:
:undoc-members:
:show-inheritance:
cpl_core.console.console
--------------------------
cpl\_core.console.console module
--------------------------------
.. automodule:: cpl_core.console.console
:members:
:undoc-members:
:show-inheritance:
cpl_core.console.console\_call
--------------------------------
cpl\_core.console.console\_call module
--------------------------------------
.. automodule:: cpl_core.console.console_call
:members:
:undoc-members:
:show-inheritance:
cpl_core.console.foreground\_color\_enum
------------------------------------------
cpl\_core.console.foreground\_color\_enum module
------------------------------------------------
.. automodule:: cpl_core.console.foreground_color_enum
:members:
:undoc-members:
:show-inheritance:
cpl_core.console.spinner\_thread
----------------------------------
cpl\_core.console.spinner\_thread module
----------------------------------------
.. automodule:: cpl_core.console.spinner_thread
:members:
:undoc-members:
:show-inheritance:
Module contents
---------------
.. automodule:: cpl_core.console
:members:
:undoc-members:
:show-inheritance:

View File

@ -1,18 +1,29 @@
cpl_core.database.connection
===============================
cpl\_core.database.connection package
=====================================
cpl_core.database.connection.database\_connection
---------------------------------------------------
Submodules
----------
cpl\_core.database.connection.database\_connection module
---------------------------------------------------------
.. automodule:: cpl_core.database.connection.database_connection
:members:
:undoc-members:
:show-inheritance:
cpl_core.database.connection.database\_connection\_abc
--------------------------------------------------------
cpl\_core.database.connection.database\_connection\_abc module
--------------------------------------------------------------
.. automodule:: cpl_core.database.connection.database_connection_abc
:members:
:undoc-members:
:show-inheritance:
Module contents
---------------
.. automodule:: cpl_core.database.connection
:members:
:undoc-members:
:show-inheritance:

View File

@ -1,18 +1,29 @@
cpl_core.database.context
============================
cpl\_core.database.context package
==================================
cpl_core.database.context.database\_context
---------------------------------------------
Submodules
----------
cpl\_core.database.context.database\_context module
---------------------------------------------------
.. automodule:: cpl_core.database.context.database_context
:members:
:undoc-members:
:show-inheritance:
cpl_core.database.context.database\_context\_abc
--------------------------------------------------
cpl\_core.database.context.database\_context\_abc module
--------------------------------------------------------
.. automodule:: cpl_core.database.context.database_context_abc
:members:
:undoc-members:
:show-inheritance:
Module contents
---------------
.. automodule:: cpl_core.database.context
:members:
:undoc-members:
:show-inheritance:

View File

@ -1,5 +1,8 @@
cpl_core.database
====================
cpl\_core.database package
==========================
Subpackages
-----------
.. toctree::
:maxdepth: 4
@ -7,28 +10,37 @@ cpl_core.database
cpl_core.database.connection
cpl_core.database.context
Submodules
----------
cpl_core.database.database\_model
-----------------------------------
.. automodule:: cpl_core.database.database_model
:members:
:undoc-members:
:show-inheritance:
cpl_core.database.database\_settings
--------------------------------------
cpl\_core.database.database\_settings module
--------------------------------------------
.. automodule:: cpl_core.database.database_settings
:members:
:undoc-members:
:show-inheritance:
cpl_core.database.database\_settings\_name\_enum
--------------------------------------------------
cpl\_core.database.database\_settings\_name\_enum module
--------------------------------------------------------
.. automodule:: cpl_core.database.database_settings_name_enum
:members:
:undoc-members:
:show-inheritance:
cpl\_core.database.table\_abc module
------------------------------------
.. automodule:: cpl_core.database.table_abc
:members:
:undoc-members:
:show-inheritance:
Module contents
---------------
.. automodule:: cpl_core.database
:members:
:undoc-members:
:show-inheritance:

Some files were not shown because too many files have changed in this diff Show More